下你所需,载你所想!
汇集开发技术源码资料

python自动生成UVM的方法代码

:34.854KB :1 :2022-01-22 16:36:16

部分简介

python自动生成UVM的方法代码如果开发者对于本文件有需要的可以参考,自动生成uvm验证环境层次结构。

uvm_auto_gen特征
* 自动生成验证目录结构
* 自动生成uvm验证环境层次结构
* 自动生成系统时钟复位Agent
* 自动生成一个TestCase例子
* 自动生成仿真Makefile和rtlfile.f
* 按照用户需求自动生成N个Agent
* 按照用户需求自动生成N个AHB Master VIP验证环境
* 按照用户需求自动生成N个AHB Slave VIP验证环境
* 按照用户需求自动生成N个APB Master VIP验证环境
* 按照用户需求自动生成N个APB Slave VIP验证环境
* 按照用户需求自动生成N个寄存器模型
* 用户需要补充或修改的部分
> 补充参考模型组件(_refm.sv)
> 补充计分板组件(_scb.sv)
> 添加覆盖率统计组件(_cov.sv)
> 补充生成的agent中的各个组件
> 在顶层env中可能需要修改每个寄存器模型的set_sequencer
> 在顶层env中需要补充各组件之间的TLM
> 补充测试基类(_test_base.sv)
> 补充测试基序列(_seq_base.sv)
> 补充虚序列(_vseq_base.sv)

热门推荐

相关文章